From 3f9df228b6695b2e0386b155a67da4407d5e6313 Mon Sep 17 00:00:00 2001 From: AlexandreSinger Date: Wed, 10 Dec 2025 08:59:28 -0500 Subject: [PATCH] [Docs] Added Syntax Coverage Draft Added documentation which describes the set of SDC syntax we are hoping to support for this test suite. This is a first draft and is subject to review. --- docs/syntax/README.md | 167 ++++++++++++++++++++++++++++++++++++++++++ 1 file changed, 167 insertions(+) create mode 100644 docs/syntax/README.md diff --git a/docs/syntax/README.md b/docs/syntax/README.md new file mode 100644 index 000000000..499c526aa --- /dev/null +++ b/docs/syntax/README.md @@ -0,0 +1,167 @@ +# SDC Syntax + +## Timing Constraints + +### create_clock + +``` +create_clock -period + (-name )? + (-waveform { })? + (-add)? + ()? +``` + +### create_generated_clock + +``` +create_generated_clock (-name )? + -source + (-master_clock )? + (-divide_by )? + (-multiply_by )? + (-add)? + +``` + +Note: There are other options. Should confirm if we want to support them. + +### set_clock_groups + +``` +set_clock_groups (-name )? + (-logically_exclusive)? + (-physically_exclusive)? + (-asynchronous)? + (-allow_paths)? + -group +``` + +Note: VTR supports a flag called "-exclusive". Should check if we want this or not. + +### set_false_path + +``` +set_false_path (-setup)? + (-hold)? + (-rise)? + (-fall)? + (-from )? + (-to )? + (-through )? +``` + +Note: There are some extra to discuss. + +### set_max_delay + +``` +set_max_delay (-rise)? + (-fall)? + (-from )? + (-to )? + (-through )? + +``` + +### set_min_delay + +``` +set_min_delay (-rise)? + (-fall)? + (-from )? + (-to )? + (-through )? + +``` + +### set_multicycle_path + +``` +set_multicycle_path (-setup)? + (-hold)? + (-rise)? + (-fall)? + (-from )? + (-to )? + +``` + +### set_input_delay + +``` +set_input_delay (-rise)? + (-fall)? + (-max)? + (-min)? + (-clock )? + (-clock_fall)? + + +``` + +### set_output_delay + +``` +set_output_delay (-rise)? + (-fall)? + (-max)? + (-min)? + (-clock )? + (-clock_fall)? + + +``` + +### set_clock_uncertainty + +``` +set_clock_uncertainty (-from )? + (-to )? + (-rise)? + (-fall)? + (-setup)? + (-hold)? + + +``` + +### set_clock_latency + +``` +set_clock_latency (-source)? + (-rise)? + (-fall)? + (-min)? + (-max)? + + +``` + +Note: VTR has `-early` and `-late` flags. Should decide if we want to support. + +### set_disable_timing + +``` +set_disable_timing (-from )? + (-to )? + +``` + +# Query + +get_ports + +get_clocks + +get_pins + +get_cells + +get_nets + +all_inputs + +all_outputs + +all_clocks